ASML Holding N.V. (ASML) designs and integrates lithography systems with computational tools, metrology and inspection systems, and process control software solutions – helping chipmakers achieve their highest yields and best performance.
As one of the leading innovators in the semiconductor industry, ASML has been helping chipmakers push technology to new limits. Together, the company’s hardware, software, and services provide a holistic lithography approach to mass-producing the patterns of m...
ASML Holding N.V. (ASML) designs and integrates lithography systems with computational tools, metrology and inspection systems, and process control software solutions – helping chipmakers achieve their highest yields and best performance.
As one of the leading innovators in the semiconductor industry, ASML has been helping chipmakers push technology to new limits. Together, the company’s hardware, software, and services provide a holistic lithography approach to mass-producing the patterns of microchips.
ASML is a leading supplier to the semiconductor industry. The company provides chipmakers with hardware, software, and services to mass-produce the patterns of integrated circuits (microchips). Together with the company’s partners, it drives the advancement of more affordable, more powerful, and more energy-efficient microchips. The company’s principal operations are in EMEA, North America, and Asia.
The company generates revenue from the sale of integrated patterning solutions for the semiconductor industry, which mainly consist of systems, system-related options, and upgrades, other holistic lithography solutions, and customer services. The main portion of the company’s net sales is derived from volume purchase agreements with its customers that have multiple performance obligations, which mainly include the sales of its systems, system-related options, installation, training, and extended and enhanced warranties. In the company’s volume purchase agreements, it offers customers discounts in the normal course of sales negotiations. As part of these volume purchase agreements, the company may also offer free goods or services and credits that can be used toward future purchases. Occasionally, systems, with the related extended and enhanced warranties, installation, and training services, are ordered individually. The company’s sales agreements do not include a right of return for any reason other than not meeting the agreed-upon specifications.
The company’s holistic approach is based on integrating its lithography systems with a set of products that optimize the production of microchips and enable affordable shrink.
To help the company’s customers understand and correct for potential issues that could cause variations or errors, it provides them with support and solutions at every stage of the chipmaking process, from early design and development to high-volume production. The company does this by taking a holistic, integrated approach to lithography that enables customers to optimize the system setup and process window for high-volume manufacturing – helping them achieve their highest yields and best chip performance.
The company’s holistic approach helps minimize any deviation between the intended and printed features of a microchip layout, optimizing the lithography system’s performance, stability, and yield – including maximizing the number of good wafers per day – and enabling ever-smaller chip features.
Products and Services
The company’s comprehensive product portfolio is aligned to its customers’ roadmaps, delivering lithography solutions in support of all applications, from advanced to mainstream nodes.
Extreme ultraviolet (EUV) lithography systems
Using EUV light at a wavelength of 13.5 nm, the company’s EUV lithography systems make it possible to print the smallest features on microchips at the highest density – they are used for the most intricate, critical layers on the most advanced microchips. They also help simplify the company’s customers’ manufacturing processes, compared to complex multiple-patterning strategies using deep ultraviolet (DUV) immersion systems. ASML is currently the world’s only manufacturer of EUV lithography systems.
TWINSCAN NXE platform (EUV 0.33 NA)
The company’s TWINSCAN NXE platform, with a numerical aperture (NA) of 0.33, was first introduced to customers in 2013 and is now widely adopted in high-volume manufacturing by its major customers. It extends its customers’ Logic and Memory roadmaps by delivering improvements in resolution, productivity, and overlay (layer-to-layer alignment) performance, enabling year-on-year cost reductions. The company’s EUV product roadmap is intended to drive affordable scaling to 2030 and beyond.
New for 2024: The company’s new NXE:3800E system boosts productivity and reduces error
In 2024, the company installed the first TWINSCAN NXE:3800E systems. This system is the successor to the TWINSCAN NXE:3600D and includes a higher-power light source, a new wafer handler, and faster wafer stages.
The company increases productivity by more than 35% – up to 220 wafers per hour (wph), compared to 160 wph using the NXE:3600D – while driving consistent overlay accuracy across different tools (matched machine overlay) down to 0.9 nm, compared to 1.1 nm with the NXE:3600D.
TWINSCAN EXE platform (EUV 0.55 NA)
High NA EUV, with an NA of 0.55, is an evolutionary step in EUV technology, introducing a novel optics design and significantly faster reticle and wafer stages. The company’s new TWINSCAN EXE platform offers chipmakers a critical dimension (the smallest feature that can be printed) of 8 nm. When compared with the TWINSCAN NXE systems, this means they can print transistors 1.7 times smaller – and therefore achieve transistor densities 2.9 times higher.
These enhancements offer considerable benefits to the company’s customers, enabling lithography simplification for future nodes, higher yields, and decreased defect density for both Logic and DRAM. EUV 0.55 NA will help its customers extend their shrink roadmap and minimize double or triple patterning compared with 0.33 NA, leading to reduced patterning complexity, lower risk of defects, and a shorter cycle time.
In addition, the EXE platform has been designed to maximize commonality with the NXE platform to drive cost reduction, speed up the development of new solutions, and optimize future reuse. Currently, they have a common wafer stage and source module. The company’s future systems will further extend this commonality with the ultimate goal of having a common platform early next decade that will only differentiate between systems from an optics point of view.
The company expects its TWINSCAN EXE platform to start supporting high-volume manufacturing in 2026 and has received purchase orders from all its major EUV customers for the delivery of the TWINSCAN EXE:5200B systems – high-volume EUV production systems with 0.55 NA and a higher number of wafers per hour.
New for 2024: High NA EUV success with the company’s TWINSCAN EXE:5000
To prepare High NA EUV (0.55 NA) for high-volume manufacturing, the first operational prototype was made available to chipmakers in the new ASML-imec High NA EUV Lithography Lab at its Veldhoven campus (the Netherlands). Two more TWINSCAN EXE:5000 systems were assembled and installed at an Intel plant near Hillsboro, Oregon (US), and a fourth system was shipped to a customer in Asia. In April 2024, the High NA EUV system in Veldhoven printed the first-ever 10 nm dense lines, with imaging done after optics, sensors, and stages completed coarse calibration. This important milestone showed the system is functioning, though not at full performance in a high-volume manufacturing environment yet.
The TWINSCAN EXE:5000 EUV system is the first in a new generation of machines that will provide 8 nm resolution to support advanced Logic and Memory chip production. It allows chipmakers to reduce process complexity in high-volume manufacturing by using single instead of multiple patterning. This increases wafer output in customer fabs by reducing production cycle time. The technology will enable multiple future chip architectures, starting at the 2 nm Logic node and followed by Memory nodes at a similar transistor density.
Deep ultraviolet (DUV) lithography systems
DUV lithography systems are the workhorses of the industry, producing the majority of layers in microchips. Supporting numerous market segments, the company offers immersion, as well as dry lithography systems, using a range of light sources to offer all wavelengths currently used in the semiconductor industry: argon fluoride (ArF) lasers for 193 nm wavelength, krypton fluoride (KrF) lasers for 248 nm, and mercury vapor discharge lamps (i-line) for 365 nm. The company’s systems lead the industry in productivity, imaging, and overlay performance to help manufacture a broad range of semiconductor nodes and technologies, and support the industry’s cost- and energy-efficient scaling.
Immersion systems (NXTi platform)
ArF immersion lithography maintains a thin layer of water between the lens and the wafer. Using the refractive index of water to increase NA improves resolution to support further shrink. The company’s immersion systems are suitable for both single-exposure and multiple-patterning lithography and can be used in seamless combination with EUV systems to print different layers of the same chip.
Dry systems (TWINSCAN NXT and TWINSCAN XT platform)
Not every layer on a chip has to be produced by the most innovative immersion lithography systems. While some more complicated layers do require more advanced lithography systems, others can often be printed using ‘older’ technology, such as dry lithography systems.
With the company’s dry systems product portfolio, it aims to offer its customers more cost-effective solutions for all wavelengths.
New in 2024
The TWINSCAN NXT:2150i is a dual-stage DUV immersion lithography system with a 193 nm ArF light source and a numerical aperture (NA) of 1.35 – the highest in the semiconductor industry right now. It offers better overlay and imaging performance at higher productivity (up to 310 wafers per hour) compared to the TWINSCAN NXT:2100, and with less process complexity.
The expected growth of the mainstream semiconductor market requires an increase in global lithography capacity – particularly in 200 mm (or 8-inch) wafer fabs, where approximately half of all mainstream node products are manufactured today. To help meet this need, the company shipped its first TWINSCAN XT:400M – the successor to the TWINSCAN XT:400L – in April 2024. This dual-stage i-line dry lithography system prints 200 mm and 300 mm wafers with = 20 nm overlay across the entire wafer, increasing productivity in mature-technology markets.
The TWINSCAN NXT:870B is the company’s latest KrF system that not only aims to set new productivity records – 400 wph compared to the 330 wph of its predecessor, the TWINSCAN NXT:870 – but will also feature a significant improvement in overlay and cost per exposure.
The company continues to innovate in productivity, cost of ownership, and performance across its TWINSCAN NXT and TWINSCAN XT product lines (ArF, KrF, and i-line) for 200 mm and 300 mm wafer sizes.
Refurbished systems
The company’s refurbished products business refurbishes and upgrades its older lithography systems to extend their lives, and offers associated services and support. It offers refurbished PAS 5500 and first-generation AT, XT, and NXT systems.
ASML systems have a very long operational lifetime that often exceeds their role at the initial customer – remarkably, 95% of the systems the company has sold in the last 30 years are still in use. Many customers are able to generate value by selling systems they no longer require. To support this sustainable product use and ensure used systems still deliver the quality ASML stands for, the company is actively involved in the used-system market.
New in 2024: NXT refurbishment
In 2023, after years of refurbishing PAS and XT systems, the company expanded its refurbished systems portfolio by adding NXT systems. It shipped the first refurbished NXT 1980Di system from its TWINSCAN factory to a customer in 2024, addressing a specific market segment that requires it.
While the company continues to produce new NXT systems, the NXT 1980Di refurbishment represents an impressive enhancement to its portfolio, utilizing a new industrialized approach for volume, efficiency, quality, and cost.
Metrology and inspection systems
The company’s metrology and inspection systems enable chipmakers to accurately measure the printed patterns on wafers, ensuring they align with the intended designs. The company’s comprehensive portfolio supports chipmakers in optimizing patterning throughout every stage of the manufacturing process, from research and development to mass production.
These systems are a key element of the company’s holistic approach to lithography. They produce data at the speed and accuracy needed during high-volume manufacturing to enable its process control software solutions to create automated feedback control loops. This optimizes the lithography system settings for each exposure to reduce edge placement error (EPE), widening the process window to achieve the highest yield and best performance.
Optical metrology
The company’s YieldStar optical metrology systems allow chipmakers to assess the quality of patterns on the wafer in volume production, through fast, accurate overlay measurements. It offers two categories of YieldStar systems for use before and after ‘etching’ (the stage when the material in any open spaces is removed to reveal the 3D version of the patterns on the wafer). Pre-etch metrology measures the overlay and focus of the lithography system and the pattern printed on the photoresist. Post-etch metrology measures the overlay and critical dimension (CD) of the final patterns formed on the wafer.
New in 2024
In 2024, the company shipped the first 'early access' YieldStar 1390 – the company’s next-generation standalone in-device metrology system. It is used for post-etch overlay measurements, enabling the inspection of device structures with more accuracy and higher speeds than scanning electron microscope (SEM) solutions. This supports very high sampling densities, driving more advanced process window control loops that improve the overlay performance and yield of the whole semiconductor manufacturing process, while reducing the cost of ownership significantly for metrology.
In 2024, the company shipped its 1,000th YieldStar system, marking a significant milestone since the first YieldStar (250D) was shipped to customers in 2008.
In 2024, the company shipped a number of HMI eScan 460 and HMI eP5 XLE single-beam inspection systems to customers worldwide to support their advanced node development and production.
The company’s first-generation multibeam system HMI eScan 1100 with 25 beams has demonstrated on average a 12x throughput advantage over single-beam systems in voltage contrast inspection use cases at Logic and DRAM customers. The higher throughput enables larger wafer area coverage for effective capturing of defect fingerprints, creating a strong customer pull for system shipments for in-line process monitoring in R&D and high-volume manufacturing.
The company has released its next-generation high-resolution e-beam metrology system HMI eP6 for large-volume metrology applications and continued to ship eP6 systems to customers in 2024. eP6 has demonstrated metrology performance improvements over eP5 on customer wafers, with a 50% improvement in precision, about a 70% improvement in distortion (critical for EPE measurement), and a 40% improvement in throughput.
E-beam metrology and inspection
The company’s HMI high-resolution electron beam (e-beam) systems provide critical dimension (CD) and edge placement error (EPE) metrology and defect detection, for chip development and production monitoring at high throughput. This capability enables the company’s customers to identify and analyze individual chip defects among millions of printed patterns, significantly enhancing process control.
While e-beam solutions were historically too slow to monitor volume production processes, the company has increased the throughput to now uniquely offer e-beam solutions for use in high-volume manufacturing (HVM), as well as the R&D phase, which involves extensive testing, validation, and fine-tuning to optimize the complete microchip manufacturing process for reliable, high-yield mass production.
The company offers two types of solutions to support R&D and HVM. E-beam metrology is used to monitor CD and EPE data at resolutions necessary for the implementation of EUV lithography, while e-beam inspection is used to monitor voltage contrast and physical defects for in-line process control.
The company’s groundbreaking multiple e-beam (multibeam) inspection systems leverage several of ASML’s core technologies: advanced electron optics, advanced stages, and computational technology. They operate at substantially higher throughput and lower cost of ownership, enabling broader adoption of multibeam voltage contrast and physical defect inspection for in-line monitoring in mass production.
The company continues to extend technology leadership in voltage contrast inspection and physical defect inspection with the widely adopted single-beam platform. The HMI eScan 460 is the company’s latest single-beam inspection system, delivering higher resolution and faster throughput to capture a wide range of voltage contrast defect types. The HMI eP5 XLE is the company’s new high-resolution physical defect inspection system capable of a wide range of landing energies to detect buried and sub-surface defects in 3D devices.
The company’s single-beam metrology systems offer high-resolution and large field-of-view capabilities with metrology application software, enabling local and global CD and EPE measurements for EUV patterning process characterization and in-line monitoring and control.
System and process control software
Taking advantage of the flexibility of the company’s lithography systems, its system and process control software products enable automated control loops to maintain optimal operation of lithography processes, thereby maximizing yield. Using powerful algorithms, they analyze metrology and inspection data and calculate necessary corrections for each individual exposure. This provides a feedback loop to the lithography system to minimize EPE in subsequent wafer lots.
The company’s roadmap aims to apply more powerful algorithms with higher-order corrections to enable its customers to continue improving EPE performance.
The company’s virtual computing platform (VCP) brings together all the data from lithography and metrology systems, enabling the latest ASML applications and enhancing transparency and collaboration. VCP manages peak loads and handles ever-increasing data speeds and volume with more computing power and storage in a modern and resilient software architecture.
Computational lithography
During lithography, diffraction of the light and physical and chemical effects in the photosensitive layer distort the image the machine is trying to print. Think of this like trying to draw a fine line with a broad watercolor paintbrush – it smudges in many places.
By using computational lithography, the company can predict and enhance the process window of its lithography systems by calculating the optimal settings for each specific application. During the R&D phase, the company’s customers rely on computational lithography to optimize the imaging conditions of the company’s lithography system.
In addition, the company develops the recipes to optimize reticle patterns to achieve the best pattern fidelity, which will be applied to each and every new reticle during high-volume manufacturing to ensure robust, manufacturable designs that deliver high yields. Insights from computational lithography solutions are also increasingly used to guide metrology and inspection, increasing throughput and enabling more precise process monitoring and control in high-volume manufacturing.
The company’s computational lithography solutions are based on accurate computer simulations of the lithography system and process, representing a wide variety of physical and chemical effects. With these simulations, the company can predict how a designed pattern will appear when printed on a wafer.
The company is increasingly using machine-learning techniques to further enhance the accuracy of models and reduce the computational time and cost. The company’s roadmap aims to apply more powerful algorithms with higher-order corrections, to enable its customers to continue improving EPE performance.
New in 2024
Computational lithography is advancing rapidly, focusing on enhancing the performance of lithography processes used in semiconductor manufacturing. Recent developments include improved algorithms for optical proximity correction (OPC) and source-mask optimization (SMO), which enhance pattern fidelity and resolution. Machine-learning techniques are increasingly being applied to predict and mitigate manufacturing variations, leading to better yield and efficiency.
Managing installed base system
The company’s installed base continues to grow, comprising not only new systems but refurbished ones with new owners in new markets and applications as well. To provide the company’s customers with the best value proposition, it offers an extensive installed base management portfolio, including a wide range of service and upgrade options.
The company develops and sells product options and enhancements designed to improve throughput, patterning performance, and overlay. The company’s field upgrade packages enable customers to optimize their cost of ownership over a system’s lifetime by upgrading older systems to improved models.
Supporting customers
The company is one of the world’s leading manufacturers of chipmaking equipment, while its customers are the world’s leading microchip manufacturers. The company enables them to create the patterns that define the electronic circuits on a chip.
The company develops its solutions based on their input, helps them achieve their technology and cost roadmaps, and works together – often literally in the same team – to ensure that what it builds today is what they need tomorrow. Engaging fully with customers is also an important part of working toward securing the full product portfolio that will sustain the company into the future.
As the company’s installed base continues to grow, it works very closely with its customers to develop and sell options and enhancements designed to improve throughput, patterning performance, and overlay to optimize the cost of ownership over a system’s lifetime.
Building on customer relationships
The company markets and sells its products directly to customers. The company’s account managers, field and application engineers, and service and technical support specialists are located close to its customers’ operations throughout Asia, the US, and Europe, the Middle East, and Africa (EMEA).
Trust is the foundation for the company’s customer relationships. The company’s customers expect it to have the right means to meet their needs and expectations, consistently deliver upon the promises it makes, be transparent about what it is doing, and fairly share the risks and rewards with them.
The company supports its customers 24/7 with a broad range of applications, services, and technical support products to maintain and enhance its systems’ performance – such as next-day parts delivery and an easy-to-use, centralized customer portal.
In 2024, the company integrated its customer-facing roles into one Customer Solution & Support (CS&S) organization to further simplify its customers’ interface to ASML.
Research and Development
The company invests heavily in research and development – spending €4.3 billion in 2024.
Business Strategy
The company’s strategies are to deepen customer trust; extend the company’s technology and holistic product leadership; foster even closer relationships with its suppliers and broader ecosystem; and continue to expand computing power and ensure that responsible growth benefits all its stakeholders.
Competition
The company competes primarily with Canon and Nikon in respect of DUV systems. It also competes with providers of applications that support or enhance complex patterning solutions, such as Applied Materials Inc. and KLA-Tencor Corporation.
History
The company was founded in 1984. The company was incorporated in the Netherlands in 1994. It was formerly known as ASM Lithography Holding N.V. and changed its name to ASML Holding N.V. in 2001.